全國最多中醫師線上諮詢網站-台灣中醫網
發文 回覆 瀏覽次數:2599
推到 Plurk!
推到 Facebook!

verilog mux ,demux

 
auxdak309
一般會員


發表:9
回覆:0
積分:1
註冊:2006-09-16

發送簡訊給我
#1 引用回覆 回覆 發表時間:2006-10-30 20:14:46 IP:218.167.xxx.xxx 未訂閱
這是2x1 mux ,1x2demux 問題怎用 (3個(2*1mux 1擴充成4bitmux)     (3個1*2dmux擴充成1*44bitdemux)
module mux2to1 (a,b,select,out);
input a,b,select;
output out;
wire out;
assign out=select? a:b;
endmodule

module demux1x2_1(d,select,y0,y1);
input d,select;
output y0,y1;
wire y0,y1;
assign y0=(!select) &d;
assign y1=select &d;
endmodule


hsk6138
初階會員


發表:12
回覆:59
積分:49
註冊:2003-12-11

發送簡訊給我
#2 引用回覆 回覆 發表時間:2006-11-16 10:38:41 IP:61.230.xxx.xxx 未訂閱
你是要把你的副程式結合起來是不是,還是另外做一個4bit的??
系統時間:2024-05-13 21:00:12
聯絡我們 | Delphi K.Top討論版
本站聲明
1. 本論壇為無營利行為之開放平台,所有文章都是由網友自行張貼,如牽涉到法律糾紛一切與本站無關。
2. 假如網友發表之內容涉及侵權,而損及您的利益,請立即通知版主刪除。
3. 請勿批評中華民國元首及政府或批評各政黨,是藍是綠本站無權干涉,但這裡不是政治性論壇!