線上訂房服務-台灣趴趴狗聯合訂房中心
發文 回覆 瀏覽次數:906
推到 Plurk!
推到 Facebook!

VHDL的問題

缺席
s89tsvs62219
一般會員


發表:1
回覆:1
積分:0
註冊:2005-05-22

發送簡訊給我
#1 引用回覆 回覆 發表時間:2005-05-22 15:56:20 IP:211.76.xxx.xxx 未訂閱
library IEEE;
use IEEE.std_logic_1164.all;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;    ENTITY aaa IS
   PORT
   (
          flag : out STD_LOGIC_VECTOR (4 downto 0);
          clock: in std_logic;
          count : out STD_LOGIC_VECTOR (4 downto 0)
          );
END aaa;    ARCHITECTURE start_signal_a OF aaa IS
        
        SIGNAL number_count : STD_LOGIC_VECTOR (4 downto 0);        
        signal  flag_temp : STD_LOGIC_VECTOR (4 downto 0):="00001";
BEGIN    PROCESS(clock)
                
begin
        if (flag_temp="00001" and (clock'EVENT and clock='1')) then
                if (number_count="00101") then
                                number_count<="00000";
                                --flag_temp<="00010";
                end if ;
                number_count<=number_count '1';                        
        end if ;
END PROCESS;    flag<=flag_temp;
count<=number_count;    END start_signal_a;
我是我寫別的程式中發現的問題~看起來可能有點怪,那是因為我把原本的程式精簡過了。 我要的是輸入一個clock,然後count會加一,有個flag看要不要疊加。 問題1:這個程式執行後到count="00101"時不會歸零。 問題2:把注解去掉後,一開始flag就會為2,所有東西都不動作了。 原本我要的是 程式一開始 count開加疊加,直到count="00101"時flag=2這時程式就不會通過判斷式,不會疊加了。 做好久都抓不出問題,請了解的大大幫幫忙一下。謝謝 不懂我說什麼,我可以在說的詳細一點。謝謝 發表人 - s89tsvs62219 於 2005/05/22 23:17:57

版主


發表:261
回覆:2302
積分:1667
註冊:2005-01-04

發送簡訊給我
#2 引用回覆 回覆 發表時間:2005-05-22 17:14:57 IP:220.134.xxx.xxx 未訂閱
新手請先看完版規! po程式碼請縮排!煩請修改配合!謝謝!
------
-------------------------------------------------------------------------
走是為了到另一境界,停是為了欣賞人生;未走過千山萬水,怎知生命的虛實與輕重!?
sn903209
初階會員


發表:53
回覆:86
積分:32
註冊:2005-03-27

發送簡訊給我
#3 引用回覆 回覆 發表時間:2005-05-23 21:18:17 IP:61.229.xxx.xxx 未訂閱
看來不只是怪而已 你要不要把整個程式排好(大小寫一致,對齊,雖然你在寫的時後可以這樣,不過問問題不排好 你看了不難過 想幫你解決的大大看了眼都花了,偶而也要替別人想想) 整個程式都貼出來 不然怎麼看那程式都有問題 flag 永遠都只會是1 然後只知道那個count 會 1而已

版主


發表:261
回覆:2302
積分:1667
註冊:2005-01-04

發送簡訊給我
#4 引用回覆 回覆 發表時間:2005-05-23 22:12:52 IP:220.134.xxx.xxx 未訂閱
請你再說清楚一點吧!這樣大家還是不了解吧.... 程式寫這樣...當然不會動...
------
-------------------------------------------------------------------------
走是為了到另一境界,停是為了欣賞人生;未走過千山萬水,怎知生命的虛實與輕重!?
addn
高階會員


發表:64
回覆:221
積分:202
註冊:2005-03-21

發送簡訊給我
#5 引用回覆 回覆 發表時間:2005-05-24 09:56:31 IP:218.171.xxx.xxx 未訂閱
將code改成    
    library IEEE;
use ieee.std_logic_1164.all;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;    ENTITY test IS
 PORT(
      clock: in std_logic;
      flag : out std_logic_vector (4 downto 0);
      count : out std_logic_vector (4 downto 0));
END test;    ARCHITECTURE start_signal_a OF test IS    signal number_count : std_logic_vector (4 downto 0):="00000";
signal  flag_temp : std_logic_vector (4 downto 0):="00000";    BEGIN
  PROCESS(clock)
   begin
   IF (clock'EVENT and clock='1') then
     IF(flag_temp="0000") THEN
        IF (number_count="00101") then
           number_count<="00000";
           flag_temp<="00001";
        ELSE
            number_count<=number_count+'1';
        END IF;
       
      END IF;
    END IF; 
   END PROCESS;     flag<=flag_temp;
 count<=number_count;
END start_signal_a;    
試試ㄅ 順便問一下你是用哪套軟體呢 我這邊的軟體用 if (flag_temp="00000" and (clock'EVENT and clock='1')) then 會出現錯誤
s89tsvs62219
一般會員


發表:1
回覆:1
積分:0
註冊:2005-05-22

發送簡訊給我
#6 引用回覆 回覆 發表時間:2005-05-24 19:13:13 IP:211.76.xxx.xxx 未訂閱
跟你一樣是Altera公司的產品,叫Quartus II,好像是max puse II的後代。 但我用了你的程式,結果還是錯的,是他的bug嗎?? 有用Quartus II的大大可以幫我解答一下嗎?? 看來只好用max puse II來做才行了 發表人 - s89tsvs62219 於 2005/05/25 00:15:58

版主


發表:261
回覆:2302
積分:1667
註冊:2005-01-04

發送簡訊給我
#7 引用回覆 回覆 發表時間:2005-05-24 22:36:21 IP:220.134.xxx.xxx 未訂閱
Quartus / QuartusII 1.0只能支援APEX系列,而不支援FLEX、MAX系列(需用 MAX PlusII軟體);與MAX PlusII相較,Quartus在做繞線最佳化與時間分析, 功能較強。QuartusII在Compiler時間比Quartus提升25~40%,fmax則好約20%。 由於QuartusII 2.0採用新的演算法編譯,其速度與效能會因此提升,但較嚴謹 的語法檢查也可能會造成您原先沒問題的RTL設計,改用QuartusII 2.0 Compiler時卻出現新的Warning甚至Error!相對的將Quartus的設計改用 Max plus II compiler也可能出現問題.
------
-------------------------------------------------------------------------
走是為了到另一境界,停是為了欣賞人生;未走過千山萬水,怎知生命的虛實與輕重!?

版主


發表:261
回覆:2302
積分:1667
註冊:2005-01-04

發送簡訊給我
#8 引用回覆 回覆 發表時間:2005-05-25 00:25:31 IP:220.134.xxx.xxx 未訂閱
引言: 看來只好用max puse II來做才行了
要看你用的Device,若max puse II沒有支援的話你還是得用Quartus!
------
-------------------------------------------------------------------------
走是為了到另一境界,停是為了欣賞人生;未走過千山萬水,怎知生命的虛實與輕重!?
系統時間:2024-05-17 6:46:11
聯絡我們 | Delphi K.Top討論版
本站聲明
1. 本論壇為無營利行為之開放平台,所有文章都是由網友自行張貼,如牽涉到法律糾紛一切與本站無關。
2. 假如網友發表之內容涉及侵權,而損及您的利益,請立即通知版主刪除。
3. 請勿批評中華民國元首及政府或批評各政黨,是藍是綠本站無權干涉,但這裡不是政治性論壇!