全國最多中醫師線上諮詢網站-台灣中醫網
發文 回覆 瀏覽次數:1929
推到 Plurk!
推到 Facebook!

vhdl作延遲時間是否在ALTERA上不能實現?

缺席
jammar
一般會員


發表:2
回覆:18
積分:4
註冊:2003-10-26

發送簡訊給我
#1 引用回覆 回覆 發表時間:2003-11-05 11:47:13 IP:210.59.xxx.xxx 未訂閱
想請教,晶片要延遲任意時間(如sleep,不用計數的方式)是否在ALTERA晶片上不能實現,非得使用Xilinx的晶片組呢??
daniel__lee
高階會員


發表:18
回覆:124
積分:113
註冊:2002-11-10

發送簡訊給我
#2 引用回覆 回覆 發表時間:2003-12-11 14:27:18 IP:61.218.xxx.xxx 未訂閱
可以!! 你可以使用VHDL來做 try [after] ~ 勿在浮沙上面築高塔 ~ 發表人 - daniel__lee 於 2003/12/11 14:31:35
------
~ 勿在浮沙上面築高塔 ~
jammar
一般會員


發表:2
回覆:18
積分:4
註冊:2003-10-26

發送簡訊給我
#3 引用回覆 回覆 發表時間:2003-12-12 17:36:53 IP:210.59.xxx.xxx 未訂閱
不好意思,小弟TRY 了一下,時間似乎仍不能DELAY,    在下面的網址查到 ◇ 避免使用 wait for xx ns,這種語句不會被編譯成實際的電路元件 ◇ 避免使用after xx ns,在編譯工具進行編譯時,會忽略after語句 http://www.21ic.com/new_info/news/files/news/200382113059.asp 小弟認為可能要特殊編譯器或者特殊語法才行, 不知您是否可以提供編譯環境資訊,或者簡單範例。
Escaflowne
一般會員


發表:1
回覆:2
積分:0
註冊:2002-10-29

發送簡訊給我
#4 引用回覆 回覆 發表時間:2003-12-30 10:07:47 IP:210.59.xxx.xxx 未訂閱
用個counter就好了不是嗎?
jammar
一般會員


發表:2
回覆:18
積分:4
註冊:2003-10-26

發送簡訊給我
#5 引用回覆 回覆 發表時間:2004-01-03 11:15:15 IP:210.68.xxx.xxx 未訂閱
在FPT-2轉接版中其有一並轉串出(jtag)之IC,小弟想用相同IC做同樣動作(以公版jtag程式修改),卻遇到邏輯閘不夠之問題,因此才突發奇想能不能不用計數器來做延遲,以解決邏輯閘數使用太多之問題。 看來是小弟異想天開,感謝各位幫忙。
ATEIN
高階會員


發表:105
回覆:320
積分:125
註冊:2002-07-05

發送簡訊給我
#6 引用回覆 回覆 發表時間:2004-01-08 00:58:52 IP:211.74.xxx.xxx 未訂閱
您可用正反器及除法器 DHM
------
ATEIN
atinlin
一般會員


發表:0
回覆:1
積分:0
註冊:2003-10-28

發送簡訊給我
#7 引用回覆 回覆 發表時間:2004-03-18 15:24:53 IP:61.222.xxx.xxx 未訂閱
引言: 您可用正反器及除法器 DHM
請問一下哦...我所寫的程式都需要有clock腳位~` 但那個clock我是要從哪產生的呢?是跟Altrea上的振盪晶片有關嗎?
小明
一般會員


發表:1
回覆:2
積分:0
註冊:2003-12-13

發送簡訊給我
#8 引用回覆 回覆 發表時間:2004-11-04 23:16:11 IP:218.175.xxx.xxx 未訂閱
引言:
引言: 您可用正反器及除法器 DHM
請問一下哦...我所寫的程式都需要有clock腳位~` 但那個clock我是要從哪產生的呢?是跟Altrea上的振盪晶片有關嗎? 接個4支腳的振盪器,由gclk輸入,再寫個除頻電路就可以了...
pdcmg
一般會員


發表:3
回覆:22
積分:20
註冊:2004-11-09

發送簡訊給我
#9 引用回覆 回覆 發表時間:2004-11-15 15:11:33 IP:220.135.xxx.xxx 未訂閱
引言: 想請教,晶片要延遲任意時間(如sleep,不用計數的方式)是否在ALTERA晶片上不能實現,非得使用Xilinx的晶片組呢??
請問您用的IDE是?...MAX PLUS II? or...? 基本上如果您用的是MAX PLUS II的話~ 您的要求恐怕達不到~ 因為MAX PLUS II不支援不透過counter的延遲語法(較不符合硬體特性...) 說難聽點就是MAX PLUS II對VHDL和VerilogHDL的支援度不高~ 所以在Verilog裡的'#'延遲甚至是initial都不被MAX PLUS II支援~ 不過你可以試著在Altera自己出的語法->AHDL裡找找看有沒有你要的功能~
系統時間:2024-05-07 6:09:53
聯絡我們 | Delphi K.Top討論版
本站聲明
1. 本論壇為無營利行為之開放平台,所有文章都是由網友自行張貼,如牽涉到法律糾紛一切與本站無關。
2. 假如網友發表之內容涉及侵權,而損及您的利益,請立即通知版主刪除。
3. 請勿批評中華民國元首及政府或批評各政黨,是藍是綠本站無權干涉,但這裡不是政治性論壇!