線上訂房服務-台灣趴趴狗聯合訂房中心
發文 回覆 瀏覽次數:1412
推到 Plurk!
推到 Facebook!

請問我寫的二位數BCD碼加法器怎麼跑出來的結果不一樣呢?

缺席
kuo0716
一般會員


發表:1
回覆:1
積分:0
註冊:2010-11-10

發送簡訊給我
#1 引用回覆 回覆 發表時間:2010-11-10 12:08:43 IP:61.20.xxx.xxx 訂閱
 請問各位大大,小弟要寫一個二位數BCD碼加法器,但是跑出來的結果有問題,煩請大家幫忙看一下,小弟程式哪裡有錯,謝謝~~

library ieee ;
use ieee.std_logic_1164.all ;
use ieee.std_logic_unsigned.all ;
use ieee.std_logic_arith.all ;
entity home4_7 is
Cout : out std_logic);
end home4_7;
architecture A_arith of home4_7 is
begin
if (Temp(3 downto 0) > "1001") then
S(3 downto 0) <= Temp(3 downto 0);
if (Temp(7 downto 4) > "1001") or (Temp(8)='1') then
else
end if; if (Temp(4) = '1') then
elsif (Temp(8) = '1') then
else
end if;
end process;
end A_arith;
kuo0716
一般會員


發表:1
回覆:1
積分:0
註冊:2010-11-10

發送簡訊給我
#2 引用回覆 回覆 發表時間:2010-11-10 17:51:50 IP:61.20.xxx.xxx 訂閱
TEMP(3 downto 0) 輸出到S(3 downto 0 )都沒加6校正

TEMP(7 downto 4) 輸出到S(7 downto 4 )有加6校正

Cout有進位,但是輸出波形也是有點不對

請問各位大大程式哪裡有誤呢?煩請各位大大解惑一下,謝謝~~~
addn
高階會員


發表:64
回覆:221
積分:202
註冊:2005-03-21

發送簡訊給我
#3 引用回覆 回覆 發表時間:2010-11-10 20:23:31 IP:114.40.xxx.xxx 訂閱
您好

請參考http://delphi.ktop.com.tw/board.php?cid=173&fid=1167&tid=85641

還有將VHDL的阻塞賦值非阻塞賦值問題了解一下,應該不難
系統時間:2024-04-26 18:47:29
聯絡我們 | Delphi K.Top討論版
本站聲明
1. 本論壇為無營利行為之開放平台,所有文章都是由網友自行張貼,如牽涉到法律糾紛一切與本站無關。
2. 假如網友發表之內容涉及侵權,而損及您的利益,請立即通知版主刪除。
3. 請勿批評中華民國元首及政府或批評各政黨,是藍是綠本站無權干涉,但這裡不是政治性論壇!