全國最多中醫師線上諮詢網站-台灣中醫網
發文 回覆 瀏覽次數:3157
推到 Plurk!
推到 Facebook!

如何使用VHDL寫多個除頻器

答題得分者是:addn
ansspend
一般會員


發表:1
回覆:0
積分:0
註冊:2008-09-30

發送簡訊給我
#1 引用回覆 回覆 發表時間:2008-09-30 07:55:18 IP:60.244.xxx.xxx 訂閱
我想寫一個除頻選擇器,除頻是在CPLD內部除頻,由外部選擇除頻大小,而CPLD是以三條線選擇除頻的大小,分別為
000 除頻數為 1
001 除頻數為 2
010 除頻數為 4
100 除頻數為 8
101 除頻數為 16
110 除頻數為 32
011 除頻數為 64
111 除頻數為 128

最後再由output 輸出,請問這個要如何寫。
ps: clk是由CPLD內部產生的
addn
高階會員


發表:64
回覆:221
積分:202
註冊:2005-03-21

發送簡訊給我
#2 引用回覆 回覆 發表時間:2008-09-30 10:01:08 IP:218.171.xxx.xxx 訂閱
您好

用一個7bit 計數器
在配合一個8對1多工器
就可以了
給你方向參考,細節自己多思考

系統時間:2024-04-25 18:45:28
聯絡我們 | Delphi K.Top討論版
本站聲明
1. 本論壇為無營利行為之開放平台,所有文章都是由網友自行張貼,如牽涉到法律糾紛一切與本站無關。
2. 假如網友發表之內容涉及侵權,而損及您的利益,請立即通知版主刪除。
3. 請勿批評中華民國元首及政府或批評各政黨,是藍是綠本站無權干涉,但這裡不是政治性論壇!